1.算法硬件測試效果
vio設置SNR=30
vio設置SNR=8
硬件測試操作步驟可參考程序配套的操作視頻。
2.算法涉及理論知識概要
Costas環是一種用於載波同步的常見方法,特別是在調製解調中,它被廣泛用於解調相位調製信號,如二進制調相(BPSK)或四進制調相(QPSK)信號。它的目的是估計和追蹤接收信號的相位偏移,以便正確解調數據。
其基本結構如下圖所示:
如上圖所示Costas環包括兩個主要部分:一個偏移90度的本地振盪器(Local Oscillator,LO)和一個相移解調器。這兩個部分協同工作來估計信號的相位偏移。
Costas環包括以下主要組件:
本地振盪器(Local Oscillator,LO): LO產生一個本地參考信號,其頻率與接收信號的載波頻率相同。這個本地參考信號通常包括正弦和餘弦兩路信號,相位相差90度。這兩路信號將與接收信號相位進行比較。
相位解調器(Phase Detector): 相位解調器用於測量接收信號和本地振盪器之間的相位差。它的輸出是一個帶有相位信息的信號。
環路濾波器(Loop Filter): 環路濾波器對相位差信息進行濾波和處理,以生成一個控制電壓。這個電壓將用於調整本地振盪器的頻率和相位,以最小化相位差。
本地振盪器控制單元: 這個單元接收來自環路濾波器的控制電壓,並相應地調整本地振盪器的頻率和相位。
輸出: Costas環的輸出是本地振盪器的相位信息,該信息已經被調整,以與接收信號的相位保持同步。這個輸出可以用於解調接收信號。
3.Verilog核心程序
`timescale 1ns / 1ps
//////////////////////////////////////////////////////////////////////////////////
// Company:
// Engineer:
//
// Create Date: 2025/05/12 01:22:19
// Design Name:
// Module Name: tops_hdw
// Project Name:
// Target Devices:
// Tool Versions:
// Description:
//
// Dependencies:
//
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
//
//////////////////////////////////////////////////////////////////////////////////
module tops_hdw(
input i_clk,
input i_rst,
output reg [3:0] led
);
reg[19:0]CNT;
always @(posedge i_clk or negedge i_rst)
begin
if(~i_rst)
begin
CNT <= 20'd0;
end
else begin
if(CNT==20'd100000)
CNT <= 20'd1;
else
CNT <= CNT+20'd1;
end
end
reg RST;
reg trigers;
always @(posedge i_clk or negedge i_rst)
begin
if(~i_rst)
begin
RST <= 1'd0;
trigers<= 1'd0;
end
else begin
if(CNT<=20'd50000)
RST <= 1'd1;
else
RST <= 1'd0;
if(CNT==20'd50000)
trigers<= 1'd1;
else
trigers<= 1'd0;
end
end
wire [1:0]o_msg;
//產生模擬測試數據
signal signal_u(
.i_clk (i_clk),
.i_rst (RST),
.o_bits(o_msg)
);
//設置SNR
wire signed[7:0]o_SNR;
vio_0 your_instance_name (
.clk(i_clk), // input wire clk
.probe_out0(o_SNR) // output wire [7 : 0] probe_out0
);
wire signed[7:0]o_msg_filter;
wire signed[15:0]o_msg_mod;
wire signed[15:0]o_msg_modn_SNR20;
wire signed[15:0]o_low_filter_SNR20;
wire signed[31:0]o_delta_fre_SNR20;
tops_costas tops_costas1(
.i_clk (i_clk),
.i_rst (RST),
.i_SNR (o_SNR),
.i_msg (o_msg),
.o_msg_filter (o_msg_filter),
.o_msg_mod (o_msg_mod),
.o_msg_modn (o_msg_modn_SNR20),
.o_low_filter (o_low_filter_SNR20),
.o_delta_fre (o_delta_fre_SNR20)
);
wire [31:0]o_error_num;
wire [31:0]o_total_num;
Error_Chech Error_Chech_us(
.i_clk (i_clk),
.i_rst (RST),
.i_trans (o_msg),
.i_rec (o_low_filter_SNR20),
.o_error_num (o_error_num),
.o_total_num (o_total_num)
);
//ila篇內測試分析模塊
ila_0 ila_u (
.clk(i_clk), // input wire clk
.probe0({
o_msg,o_SNR,trigers,//10
o_msg_filter,//8
o_msg_mod[15:6],o_msg_modn_SNR20[15:6],o_low_filter_SNR20[15:6],//30
o_delta_fre_SNR20,//32
o_error_num[19:0],o_total_num[23:0]//44
})
);
endmodule
0sj2_075m
注意:硬件片內測試是指發射接收均在一個板子內完成,因此不需要定時同步模塊。
在本課題中,使用的開發板是: